Atomic Layer Deposition (ALD) Technology

Fraunhofer IMS offers innovative thin film deposition processes on the basis of ALD technology. Precise deposition control, excellent conformity and a large range of materials pave the way for new applications.

Fraunhofer IMS develops the smallest nanosensors on the basis of ALD for cell contacting in its project ZellMOS.
© Fraunhofer IMS
Fraunhofer IMS develops the smallest nanosensors on the basis of ALD for cell contacting in its project ZellMOS.
Picosun R-200: Single wafer processes (200 mm)
© Fraunhofer IMS
Picosun R-200: Single wafer processes (200 mm)

Progressive process technology for new MEMS and NEMS devices

Medium-resistant protective layers for sensors, optical coatings, high capacity trench capacitors, new NEMS devices for gas sensors, biosensors with nanowires, ultrathin freestanding membranes: All of this is possible with modern ALD technology (Atomic Layer Deposition).

ALD is a deposition process which is based on the chemical surface reaction of at least two precursors. The process allows for a layered increase of high-quality layers with thicknesses in the range of 1-100 nm. A steadily increasing selection of ALD materials enables new, innovative sensor applications. Within the framework of the Forschungsfabrik Mikroelektronik Deutschland (FMD) Fraunhofer IMS acts as an ALD competence center. At Fraunhofer IMS we offer the ALD technology for 200 mm wafers.

Application area of ALD technology

Because the process temperature during ALD deposition is low in comparison to conventional CVD processes, ALD layers can be deposited on substrates with integrated circuits in particular, i.e. on CMOS wafers. Therefore, the ALD technology can be applied for different MEMS, NEMS or CMOS-related applications, for example:

  • Cost-efficient 3D NEMS technologies for the generation of freestanding nanostructures on CMOS surfaces with a high reproducibility have been developed and patented by Fraunhofer IMS.
  • Medium-resistant layers for sensor applications, for example for pressure sensors or the encapsulation of medical implants. Aluminum oxide (AI2O3) and tantalum pentoxide(Ta2O5) are available for ALD passivation. Further ALD materials can also be implemented at Fraunhofer IMS, if required.
  • Electrical or optical shields can be manufactured through metallic layers like ruthenium (Ru). Moreover, ALD layers can be used as transparent, conductive electrode layers, for example for optical sensors or solar cell applications.
  • Due to the high conformity of the ALD process, dielectrics can be used as an ideal isolation in trench capacitors. For trench capacitors there are high- and medium-k dielectrics available. Fraunhofer IMS has experience in the development of trench capacitors for high-temperature applications (more than 250 °C) on the basis of ALD layers.

The ALD technology offers the option to realize freestanding 3D MEMS or NEMS structures with wall thicknesses in the nanometer range on CMOS surfaces. The combination of different ALD materials allows the exact configuration of the physical and chemical parameters of the freestanding structure. Due to the nanoscale wall thickness and the low mechanical and thermal mass, the 3D structures are perfectly suited for progressive sensor application in gas and bio sensor systems. Furthermore, nanowire, ultrathin membranes and cantilevers can be manufactured at Fraunhofer IMS using ALD technology.

Special solutions for the arbitrarily shaped devices, for example packaged sensors or coatings with special materials can be realized upon request.

Available ALD processes

Material Typ. temperature Homogeneity Resistance Max. film thickness Applications
Al2O3 200 °C - 300 °C 98 %   50 - 75 nm Protective layer,
Medium-k dielectric
Ta2O5 275 °C 90 %   15 nm Protective layer,
High-k dielectric
ZnO 200 °C 96 % 5240 µΩcm 75 nm Transparent conductive layer
AZO 200 °C 96 % 2075 µΩcm 75 nm Transparent conductive layer
TiAlCN 400 °C 80 % 560 µΩcm 100 nm Conductive layer, barrier
TiN 400 °C 75 % 140 µΩcm 10 nm Conductive layer, barrier
Ru

350 °C

85 % 20 µΩcm 75 nm Conductive layer, electrical or optical shield

ALD benefits summarized

  • Very high conformity of the deposited layers. The excellent side wall coverage of ALD layers in cavities with a high aspect ratio allows for applications for 3D technologies.
  • Precise layer thicknesses through monolayer growth.
  • The deposited layers are of a high quality and nearly free of pinholes.
  • An increasing material variety is available: metals, insulators, high-k dielectrics, functional materials for sensors (e.g. metal oxides), optical materials as well as transparent conductive oxides are provided by Fraunhofer IMS.

This might interest you

Customer-specific CMOS processes

Development from a single sup step to the complete customer specific CMOS process

3D-Integration

3D-integrations via wafer-to-wafer bonding (W2W) and chip-to-wafer bonding (C2W) 

MEMS Technologies

Low temperature processes for post-CMOS integration of MEMS sensors or actuators

High Temperature Electronics

At Fraunhofer IMS we develop integrated circuits, sensors and actuators in a 0.35 µm SOI-CMOS high temperature technology and manufacture them in our in-house clean room.

Overview Pages

Devices and Technologies (Home)

Fraunhofer IMS offers the optimal conditions for the development of innovative microelectronic and micromechanic devices and systems.

Applications

Overview of typical development projects in the field of Devices and Technologies

Technologies

Customer-specific CMOS process, high-temperature ICs, MEMS and post-CMOS processing, atomic layer deposition (ALD), 3D-Integration

Customer Benefits

Our offers for customer-oriented solutions – from the process development up series production

Download