Atomic Layer Deposition (ALD)-Technologie

Das Fraunhofer IMS bietet Ihnen innovative Dünnschichtabscheidungsverfahren auf Basis der ALD-Technologie. Präzise Schichtdickenkontrolle, exzellente Konformität und eine hohe Materialvielfalt öffnen den Weg für neue Applikationen.

Das Fraunhofer IMS entwickelt im Projekt ZellMOS kleinste Nanosensoren auf ALD-Basis für die Zellkontaktierung.
© Fraunhofer IMS
Am Fraunhofer IMS hergestellte ALD 3D Nanoelektroden um biologische Zellen intrazellulär mit integrierten Schaltungen zu verbinden
Picosun R-200: Einzelwafer Prozesse (200 mm)
© Fraunhofer IMS
Picosun R-200: Einzelwafer Prozesse (200 mm)

Fortschrittliche Prozesstechnologie für neue MEMS- und NEMS-Devices

Medienresistente Schutzschichten (für Sensoren, optische Beschichtungen, Hochkapazität-Trench-Kondensatoren, neue NEMS-Devices für Gassensoren, Biosensoren mit Nanodrähten, ultradünne freistehende Membranen: All dies ist mit moderner ALD-Technologie (Atomic Layer Deposition) realisierbar.

ALD ist ein Abscheidungsprozess, der auf chemische Oberflächenreaktionen von mindestens zwei Präkusoren basiert. Der Prozess ermöglicht ein schichtweises Wachstum hochwertiger Schichten mit Dicken im Bereich 1-100 nm. Eine stetig wachsende Auswahl an ALD-Materialien ermöglicht neue innovative Sensoranwendungen. Im Rahmen der Forschungsfabrik Mikroelektronik Deutschland (FMD) agiert das Fraunhofer IMS als ALD-Kompetenzzentrum. Am Fraunhofer IMS steht die ALD-Technik für 200 mm-Wafer zur Verfügung.

Anwendungsbereich der ALD-Technologie

Da die Prozess-Temperatur bei der ALD-Abscheidung im Vergleich zu herkömmlichen CVD-Verfahren niedrig ist, können ALD-Schichten insbesondere auf Substraten mit integrierten Schaltungen, d. h. auf CMOS-Wafern, abgeschieden werden. Somit kann die ALD-Technologie für unterschiedliche MEMS, NEMS oder CMOS-bezogene Anwendungen eingesetzt werden, wie z. B.:

  • Kostengünstige 3D-NEMS-Technologien zur Erzeugung freistehender Nanostrukturen auf CMOS-Oberflächen mit höchster Reproduzierbarkeit sind durch das Fraunhofer IMS entwickelt und patentiert worden.
  • Medienresistente Schichten für Sensor-Applikationen wie z. B. Drucksensoren oder zur Verkapselung medizinischer Implantate. Aluminiumoxid (Al2O3) und Tantalpentoxid (Ta2O5) stehen als ALD-Passivierung zur Verfügung. Auf Wunsch können weitere ALD-Materialien am Fraunhofer IMS implementiert werden.
  • Elektrische oder optische Abschirmungen können durch metallische Schichten wie Ruthenium (Ru) hergestellt werden. Darüber hinaus können ALD-Schichten als transparente leitfähige Elektrodenschichten z. B. für optische Sensoren oder Solarzellenanwendungen eingesetzt werden.
  • Aufgrund der hohen Konformität des ALD-Prozesses können Dielektrika ideal als Isolation in Trench-Kondensatoren verwendet werden. Für Trench-Kondensatoren stehen High- und Medium-k-Dielektrika zur Verfügung. Das Fraunhofer IMS hat Erfahrung in der Entwicklung von Trench-Kondensatoren für Hochtemperatur-Anwendungen (mehr als 250 °C) auf Basis von ALD-Schichten.

Die ALD-Technologie bietet die Möglichkeit, freistehende 3D-MEMS- oder NEMS-Strukturen mit Wanddicken im Nanometer-Bereich auf CMOS-Oberflächen zu realisieren. Die Kombination verschiedener ALD-Materialien ermöglicht die exakte Einstellung der physikalischen und chemischen Parameter der freistehenden Struktur. Aufgrund der nanoskaligen Wanddicke und einer geringen mechanischen sowie thermischen Masse eignen sich die 3D-Strukturen ideal für fortschrittliche Sensoranwendungen in der Gas- oder Biosensorik. Des Weiteren werden am Fraunhofer IMS Nanowire, ultradünne Membranen und Cantilever mit Hilfe der ALD-Technologie hergestellt.

Sonderlösungen für beliebig geformte Bauelemente, wie z. B. verpackte Sensoren oder Beschichtungen mit Sondermaterialien, können auf Anfrage realisiert werden.

Verfügbare ALD-Prozesse

Material Typ. Temperatur Homogenität Widerstand Max. Filmdicke Applikationen
Al2O3 200 °C - 300 °C 98 %   50 - 100 nm Schutzschicht,
Medium-k-Dielektrikum
Ta2O5 275 °C 90 %   15 nm Schutzschicht,
High-k-Dielektrikum
ZnO 200 °C 96 % 5240 µΩcm 75 nm Transparente leitende Schicht
AZO 200 °C 96 % 2075 µΩcm 75 nm Transparente leitende Schicht
TiAlCN 400 °C 80 % 560 µΩcm 100 nm Leitende Schicht, Barriere
TiN 400 °C 75 % 140 µΩcm 30 nm Leitende Schicht, Barriere
Ru

350 °C

85 % 20 µΩcm 50 nm Leitende Schicht, elektrische oder optische Abschirmung

ALD Vorteile auf einem Blick

  • Sehr hohe Konformität der abgeschiedenen Schichten. Die sehr gute Seitenwandbedeckung von ALD-Schichten in Kavitäten mit hohem Aspektverhältnis ermöglicht Anwendungen für 3D-Technologien.
  • Präzise Schichtdicken durch Monolayer-Wachstum
  • Die abgeschiedenen Schichten sind von hoher Qualität und nahezu frei von Pinholes.
  • Eine wachsende Materialvielfalt steht zur Verfügung: Metalle, Isolatoren, High-k Dielektrika, funktionale Materialien für Sensoren (z. B. Metalloxide), optische Materialien sowie transparente leitfähige Oxide werden durch das Fraunhofer IMS bereitgestellt.

Das könnte Sie auch interessieren

Kundenspezifische CMOS-Prozesse

Entwicklung von einzelnen Teilschritt bis zum vollständigen kundenspezifischen CMOS-Prozess

Backside Illumination Sensor (BSI-Sensor)

Herstellung von rückseitig beleuchteten Bildsensoren im CMOS- und MST-Reinraum

3D-Integration

3D-Integration mittels Wafer-zu-Wafer-Bonding (W2W) und Chip-zu-Wafer-Bonding (C2W)

MEMS-Technologien

Niedertemperatur Prozesse zur post-CMOS Integration von MEMS Sensoren oder Aktuatoren

Hochtemperatur Elektronik

Am Fraunhofer IMS werden integrierte Schaltungen, Sensoren und Aktoren in einer 0,35 µm SOI-CMOS Hochtemperatur-Technologie entwickelt und im eigenen Reinraum gefertigt.

Übersichtsseiten

Devices and Technologies (Home)

Das Fraunhofer IMS bietet optimale Voraussetzung zur Entwicklung von innovativen mikroelektronischen und mikromechanischen Komponenten und Systemen.

Anwendungen

Übersicht über typische Entwicklungsprojekte im Bereich Devices and Technologies

Technologien

Kundenspezifische CMOS-Prozesse, Hochtemperatur-ICs, MEMS and Post-CMOS processing, Atomic Layer Deposition (ALD), 3D-Integration

Leistungen

Unsere Angebote für kundenorientierte Lösungen - von der Beratung über die Prozessentwicklung bis hin zur Serienproduktion

Download